DE10133013C2 - Closure for cavities or feedthroughs - Google Patents

Closure for cavities or feedthroughs

Info

Publication number
DE10133013C2
DE10133013C2 DE2001133013 DE10133013A DE10133013C2 DE 10133013 C2 DE10133013 C2 DE 10133013C2 DE 2001133013 DE2001133013 DE 2001133013 DE 10133013 A DE10133013 A DE 10133013A DE 10133013 C2 DE10133013 C2 DE 10133013C2
Authority
DE
Germany
Prior art keywords
membrane
closure
layer
heating wire
cavities
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE2001133013
Other languages
German (de)
Other versions
DE10133013A1 (en
Inventor
Werner Schomburg
Zeno Rummler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Forschungszentrum Karlsruhe GmbH
Original Assignee
Forschungszentrum Karlsruhe GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Forschungszentrum Karlsruhe GmbH filed Critical Forschungszentrum Karlsruhe GmbH
Priority to DE2001133013 priority Critical patent/DE10133013C2/en
Publication of DE10133013A1 publication Critical patent/DE10133013A1/en
Application granted granted Critical
Publication of DE10133013C2 publication Critical patent/DE10133013C2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0035Constitution or structural means for controlling the movement of the flexible or deformable elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502738Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by integrated valves
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/025Actuating devices; Operating means; Releasing devices electric; magnetic actuated by thermo-electric means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/04Closures and closing means
    • B01L2300/046Function or devices integrated in the closure
    • B01L2300/049Valves integrated in closure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/06Valves, specific forms thereof
    • B01L2400/0633Valves, specific forms thereof with moving parts
    • B01L2400/0638Valves, specific forms thereof with moving parts membrane valves, flap valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/508Containers for the purpose of retaining a material to be analysed, e.g. test tubes rigid containers not provided for above

Description

Die Erfindung betrifft einen Verschluss für Hohlräume oder Durchführungen, der durch einen elektrischen Strom geöffnet werden kann nach dem Oberbegriff des Patentanspruchs 1.The invention relates to a closure for cavities or Bushings that are opened by an electric current can be according to the preamble of claim 1.

Für die Handhabung kleiner flüssiger Proben in Kapillarsyste­ men ist es oft wünschenswert, die Probe in einem Volumen oder Reservoir dicht verschlossen zu halten, um sie dann im Moment einer Analyse synchron zu einem elektrischen Signal freizuge­ ben. Der klassische Weg zur Lösung dieser Fragestellung be­ steht darin, am Reservoir ein Ventil anzubringen, das aufgrund eines elektrischen Signales geöffnet wird. Wenn aber auf einer Grundplatte sehr viele Reservoirs nebeneinander angeordnet sind, wie dies z. B. bei biotechnologischen Anwendungen und in der Wirkstoffforschung üblich ist, ist der Aufwand, jedes der Reservoirs mit einem eigenen Ventil zu versehen, zu groß.For handling small liquid samples in capillary systems It is often desirable to place the sample in a volume or To keep the reservoir tightly closed, then at the moment an analysis synchronized with an electrical signal ben. The classic way to solve this problem be is to attach a valve to the reservoir that due to an electrical signal is opened. But if on one Base plate very many reservoirs arranged side by side are how this z. B. in biotechnological applications and in Drug research is common, the effort is each Too big reservoirs with their own valve.

In der DE 198 58 443 A1 ist ein Verfahren beschrieben, bei dem der Boden eines kleinen Reservoirs mit einem Laserstrahl geöffnet wird.DE 198 58 443 A1 describes a method described in which the bottom of a small reservoir with opened with a laser beam.

Nachteilig bei diesem Verfahren ist, dass ein Laser benötigt wird, der gezielt auf den Boden des jeweiligen Reservoirs aus­ gerichtet werden muss.The disadvantage of this method is that a laser is required is targeted to the bottom of the respective reservoir must be judged.

In der DE 196 10 293 C1 wird eine Membran als Verschluss für einen Hohlraum offenbart. Diese wird durch Erwärmung eines auf ihr angebrachten Heizdrahtes zerstört, um eine Öffnung im Hohlraum zu erzeugen.In DE 196 10 293 C1 a membrane is used as a closure for reveals a cavity. This is done by heating one up your attached heating wire destroyed to an opening in the To create cavity.

Weiterhin wird in der DE 197 16 683 C1 und in der DE 35 20 416 C2 eine Membran als Verschluss für eine Durchführung beschrie­ ben, die auf die gleiche Weise zerstört wird, um die Durchfüh­ rung zu öffnen. Furthermore, DE 197 16 683 C1 and DE 35 20 416 C2 described a membrane as a closure for an implementation ben who is destroyed in the same way to carry out opening.  

Bei diesem Verfahren zerspringt die Membran in einzelne Stü­ cke, durch die die Probe kontaminiert wird, was bei einer An­ zahl von Anwendungen unerwünscht ist.In this process, the membrane breaks into individual pieces through which the sample is contaminated number of applications is undesirable.

Aufgabe der Erfindung ist es, einen weiteren Verschluss für Hohlräume anzugeben, der auf einfache Weise durch ein elektrisches Signal geöffnet werden kann, ohne dass ein zusätzliches Werkzeug benötigt würde. Gelöst wird diese Aufgabe durch einen Verschluss mit den Merkmalen des Patentanspruches 1. Die übrigen Ansprüche beschreiben vorteilhafte Ausgestaltungen des Verschlusses.The object of the invention is a further closure for To indicate cavities by a simple way through a electrical signal can be opened without a additional tools would be needed. This is solved Task by a closure with the features of claim 1. The rest Claims describe advantageous embodiments of the Closure.

Der Verschluss wird im folgenden anhand von den Fig. 1 bis 4 anhand von zwei Ausführungsbeispielen näher erläutert. Dabei zei­ gen die Figuren schematisch den Aufbau der gefertigten Vorrichtung bzw. einzelne Stadien während deren Herstellung. The closure is explained in more detail below with reference to FIGS. 1 to 4 using two exemplary embodiments. The figures show schematically the structure of the manufactured device or individual stages during their manufacture.

Die Figuren sind nicht maßstäblich gezeichnet, um sehr dünne bzw. kleine Strukturen neben vergleichsweise großen Strukturen deutlich werden zu lassen.The figures are not drawn to scale to be very thin or small structures in addition to comparatively large structures to make it clear.

Das erste Anwendungsbeispiel beschreibt einen Verschluss für Hohlräume, der von einer Membran mit einem darauf angebrachten Heizdraht gebildet wird. In den Figuren sind der Übersicht­ lichkeit wegen nur ein oder zwei Verschlüsse dargestellt. Es können aber viele Verschlüsse parallel nebeneinander ange­ bracht und so wesentlich Kosten gespart werden.The first application example describes a closure for Cavities by a membrane with one attached to it Heating wire is formed. In the figures are the overview shown for only one or two closures. It but many closures can be placed side by side in parallel brings and thus significantly save costs.

Wie in Fig. 1 auf der linken Seite dargestellt ist, ist eine 5 µm dicke Membran 1 aus Polytetrafluorethylen (PTFE) auf einem Substrat 2 aus Polyetheretherketon (PEEK) mit Hohlräu­ men 3 so angebracht, dass die Hohlräume 3 vollständig ver­ schlossen werden. Auf der Membran 1 sind ein Heizdraht 4 und eine weitere dünne Schicht 5 aus 100 nm dickem Gold ange­ bracht. Die Goldschicht 5 wird so auf der Membran 1 ange­ bracht, dass sie unter einer mechanischen Zugspannung steht.As shown in Fig. 1 on the left side, a 5 micron thick membrane 1 made of polytetrafluoroethylene (PTFE) on a substrate 2 made of polyether ether ketone (PEEK) with Cavities 3 is attached so that the cavities 3 are completely closed ver. On the membrane 1 , a heating wire 4 and a further thin layer 5 made of 100 nm thick gold are introduced. The gold layer 5 is placed on the membrane 1 so that it is under a mechanical tensile stress.

Zum Öffnen des Verschlusses wird ein elektrischer Strom durch den Heizdraht 4 geleitet, sodass sich der Heizdraht 4 und der unmittelbar darunter liegende Teil der Membran 1 erwärmen, bis das Material der Membran 1 so weich wird, dass sich im Bereich des Heizdrahtes ein Spalt in der Membran 1 bildet. Daraufhin relaxiert die Zugspannung in der Schicht 5 und ein Teil 1a der Membran 1 wird, wie rechts in Fig. 1 dargestellt ist, zu­ sammen mit einem Teil 5a der Schicht 5 zum Rand hin aufge­ rollt, sodass der Hohlraum 3 geöffnet wird.To open the closure, an electrical current is passed through the heating wire 4 , so that the heating wire 4 and the part of the membrane 1 immediately below it heat up until the material of the membrane 1 becomes so soft that there is a gap in the area of the heating wire Membrane 1 forms. Then the tensile stress in layer 5 relaxes and part 1 a of membrane 1 is rolled up, as shown on the right in FIG. 1, together with part 5 a of layer 5 towards the edge, so that cavity 3 is opened.

Fig. 2 zeigt eine Aufsicht auf einen geschlossenen Verschluss mit dem Heizdraht 4 und seinen Zuleitungen und der Schicht 5. Die Lage des Randes 3a des Hohlraumes 3 unter der Membran 1 ist als gestrichelte Linie markiert. Fig. 2 shows a plan view of a closed bolt with the heating wire 4 and its supply lines and the layer 5. The position of the edge 3 a of the cavity 3 under the membrane 1 is marked as a dashed line.

Der Heizdraht 4 und/oder die Schicht 5 können auch auf der an­ deren Seite der Membran 1 im Innern des Hohlraumes 3 angeord­ net werden, wenn dies aus fertigungstechnischen Gründen oder in der Anwendung einen Vorteil darstellt. The heating wire 4 and / or the layer 5 can also be arranged on the other side of the membrane 1 inside the cavity 3 , if this is an advantage for manufacturing reasons or in application.

Aus fertigungstechnischen Gründen ist es in der Regel ein Vor­ teil, wenn die Schicht 5 und der Heizdraht 4 aus dem gleichen Material bestehen und die gleiche Dicke aufweisen. Es ist aber auch möglich, verschiedene Materialien für den Heizdraht 4 und die Schicht 5 zu wählen und/oder sie in unterschiedlicher Dicke zu fertigen.For technical reasons, it is usually a part before if the layer 5 and the heating wire 4 consist of the same material and have the same thickness. However, it is also possible to choose different materials for the heating wire 4 and the layer 5 and / or to manufacture them in different thicknesses.

Ein Verschluss, wie er hier beschrieben ist, kann nicht nur zum hermetischen Verschließen eines Hohlraumes eingesetzt werden, sondern auch ein nach oben offenes Reservoir ver­ schließen, oder zwei Kapillarsysteme von einander trennen, die durch ein elektrisches Signal miteinander verbunden werden sollen.A closure as described here can not only used for hermetically sealing a cavity be, but also a reservoir open at the top close, or separate two capillary systems from each other be connected to each other by an electrical signal should.

Es ist auch möglich, einen Heizdraht 4 innen oder außen an ei­ ner hinreichend dünnen Stelle einer Begrenzungswand 1 eines Hohlraumes anzubringen, wie es in Fig. 3 dargestellt ist.It is also possible to attach a heating wire 4 inside or outside to a sufficiently thin location of a boundary wall 1 of a cavity, as shown in FIG. 3.

Im zweiten Anwendungsbeispiel wird ein Verschluss beschrieben, bei dem eine Sollbruchstelle das Öffnen erleichtert.In the second application example, a closure is described where a predetermined breaking point makes opening easier.

In einem Kanalsystem aus Polysulfon (PSU) mit einem quadrati­ schen, 4.4 mm2 großen Querschnitt wird der Zustrom eines Ga­ ses durch den in Fig. 4 in Aufsicht gezeigten Verschluss im wesentlichen unterbunden. Der Verschluss wird durch eine 2 µm dicke Membran aus Polyimid (PI) gebildet in der zur Perfora­ tion kreisförmige Öffnungen 7 mit einem Durchmesser von 200 µm angebracht sind. Im Zentrum der Membran ist ein Heizdraht 4 und Schichten 5 aus 100 nm dickem Wolfram angebracht. Ein elektrischer Strom erwärmt den Heizdraht 4 so sehr, dass die Membran in diesem Bereich unterbrochen wird. Die Perforation führt dazu, dass die Membran entlang der Öffnungen 7 einreißt, auch wenn der Druckunterschied über der Membran nicht sehr groß ist. Ferner führt eine mechanische Vorspannung in der Wolframschicht 5 und in den Zuleitungen zum Heizdraht 4 dazu, dass sich die Membran zum Rand hin aufrollt und so die Öffnung im wesentlichen freigibt.In a channel system made of polysulfone (PSU) with a square, 4.4 mm 2 cross-section, the inflow of a gas is substantially prevented by the closure shown in FIG. 4 in top view. The closure is formed by a 2 µm thick membrane made of polyimide (PI) in which circular openings 7 with a diameter of 200 µm are provided for perforation. A heating wire 4 and layers 5 made of 100 nm thick tungsten are attached in the center of the membrane. An electric current heats the heating wire 4 so much that the membrane is interrupted in this area. The perforation causes the membrane to tear along the openings 7 , even if the pressure difference across the membrane is not very great. Furthermore, a mechanical prestress in the tungsten layer 5 and in the feed lines to the heating wire 4 leads to the membrane rolling up towards the edge and thus essentially opening up the opening.

Ein Verschluss in dieser Art hat den Vorteil, dass nur ein ganz kleiner Teil, einer sehr dünnen Membran soweit erwärmt zu werden braucht, dass er weich wird und der mechanischen Span­ nung in der Membran bzw. in der Schicht 5 und den Zuleitungen zum Heizdraht nachgibt. Deshalb ist nur eine ganz kleine elektrische Energiemenge notwendig, um die den Verschluss zu öffnen.A closure of this type has the advantage that only a very small part, a very thin membrane, needs to be heated to such an extent that it becomes soft and yields to the mechanical tension in the membrane or in layer 5 and the leads to the heating wire , Therefore, only a very small amount of electrical energy is required to open the closure.

Es ist auch möglich, statt der durchgehenden Öffnungen 7 in der Membran verjüngte Bereiche vorzusehen, entlang derer die Membran leicht einreißen kann. Dies hat den Vorteil, dass die Membran das Kanalsystem dichter verschließen kann.Instead of the through openings 7 in the membrane, it is also possible to provide tapered regions along which the membrane can easily tear. This has the advantage that the membrane can close the channel system more tightly.

Claims (4)

1. Verschluss für Hohlräume oder Durchführungen bestehend aus einer dünnen Schicht (1), der mit einem elektrischen Strom geöffnet werden kann, dadurch ge­ kennzeichnet, dass die dünne Schicht (1) mit einem Heiz­ element (4) versehen ist und dass eine Schicht (5) auf der dün­ nen Schicht (1) angebracht ist, wobei die Schicht (5) unter einer mechanischen Zugspannung steht.1. Closure for cavities or bushings consisting of a thin layer ( 1 ) that can be opened with an electric current, characterized in that the thin layer ( 1 ) is provided with a heating element ( 4 ) and that a layer ( 5 ) is attached to the thin layer ( 1 ), the layer ( 5 ) being under mechanical tensile stress. 2. Verschluss nach Anspruch 1, dadurch gekennzeichnet, dass die dünne Schicht (1) eine Membran ist.2. Closure according to claim 1, characterized in that the thin layer ( 1 ) is a membrane. 3. Verschluss nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass in der dünnen Schicht (1) eine Perforation (7) ange­ bracht ist.3. Closure according to claim 1 or 2, characterized in that a perforation ( 7 ) is introduced in the thin layer ( 1 ). 4. Verschluss nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die dünne Schicht (1) an vorgegebenen Stellen (7) dünner ausgeführt ist.4. Closure according to claim 1 or 2, characterized in that the thin layer ( 1 ) is made thinner at predetermined points ( 7 ).
DE2001133013 2001-07-06 2001-07-06 Closure for cavities or feedthroughs Expired - Fee Related DE10133013C2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE2001133013 DE10133013C2 (en) 2001-07-06 2001-07-06 Closure for cavities or feedthroughs

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE2001133013 DE10133013C2 (en) 2001-07-06 2001-07-06 Closure for cavities or feedthroughs

Publications (2)

Publication Number Publication Date
DE10133013A1 DE10133013A1 (en) 2003-01-23
DE10133013C2 true DE10133013C2 (en) 2003-07-03

Family

ID=7690975

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2001133013 Expired - Fee Related DE10133013C2 (en) 2001-07-06 2001-07-06 Closure for cavities or feedthroughs

Country Status (1)

Country Link
DE (1) DE10133013C2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004038152A1 (en) * 2004-08-06 2006-02-23 Eppendorf Ag Covering film for microtiter plate, for use e.g. in microbiology or cell culture, comprises weakened zones over the wells to facilitate access while retaining protection against evaporation and cross-contamination
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7332348B2 (en) * 2003-02-28 2008-02-19 Applera Corporation Sample substrate having a divided sample chamber and method of loading thereof
ATE390587T1 (en) 2005-09-08 2008-04-15 Fiat Ricerche DIAPHRAGM VALVE WITH SHAPE MEMORY ACTUATION
SE529165C2 (en) 2005-10-04 2007-05-22 Nanospace Ab A micromechanical high pressure isolation valve system
US20100028211A1 (en) * 2006-02-07 2010-02-04 Eppendorf Ag Cover sheet for a microtiter plate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) * 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3520416C2 (en) * 1985-06-07 1987-07-23 Erno Raumfahrttechnik Gmbh, 2800 Bremen, De
US4706061A (en) * 1986-08-28 1987-11-10 Honeywell Inc. Composition sensor with minimal non-linear thermal gradients
US4928513A (en) * 1986-07-29 1990-05-29 Sharp Kabushiki Kaisha Sensor
US5291781A (en) * 1991-04-12 1994-03-08 Yamatake-Honeywell Co., Ltd. Diaphragm-type sensor
US5345213A (en) * 1992-10-26 1994-09-06 The United States Of America, As Represented By The Secretary Of Commerce Temperature-controlled, micromachined arrays for chemical sensor fabrication and operation
US5406841A (en) * 1992-03-17 1995-04-18 Ricoh Seiki Company, Ltd. Flow sensor
DE19610293C1 (en) * 1996-03-15 1997-07-31 Fraunhofer Ges Forschung Electronically-breakable microencapsulation system for sensitive materials
DE19716683C1 (en) * 1997-04-21 1998-06-04 Fraunhofer Ges Forschung Miniature encapsulation device for sensitive materials
DE19858443A1 (en) * 1998-12-17 2000-07-06 Inst Mikrotechnik Mainz Gmbh Method for dispensing a fluid, fluidic component and device for handling such components

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3520416C2 (en) * 1985-06-07 1987-07-23 Erno Raumfahrttechnik Gmbh, 2800 Bremen, De
US4928513A (en) * 1986-07-29 1990-05-29 Sharp Kabushiki Kaisha Sensor
US4706061A (en) * 1986-08-28 1987-11-10 Honeywell Inc. Composition sensor with minimal non-linear thermal gradients
US5291781A (en) * 1991-04-12 1994-03-08 Yamatake-Honeywell Co., Ltd. Diaphragm-type sensor
US5406841A (en) * 1992-03-17 1995-04-18 Ricoh Seiki Company, Ltd. Flow sensor
US5345213A (en) * 1992-10-26 1994-09-06 The United States Of America, As Represented By The Secretary Of Commerce Temperature-controlled, micromachined arrays for chemical sensor fabrication and operation
DE19610293C1 (en) * 1996-03-15 1997-07-31 Fraunhofer Ges Forschung Electronically-breakable microencapsulation system for sensitive materials
DE19716683C1 (en) * 1997-04-21 1998-06-04 Fraunhofer Ges Forschung Miniature encapsulation device for sensitive materials
DE19858443A1 (en) * 1998-12-17 2000-07-06 Inst Mikrotechnik Mainz Gmbh Method for dispensing a fluid, fluidic component and device for handling such components

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004038152B4 (en) * 2004-08-06 2006-06-08 Eppendorf Ag Covering film for microtiter plate, for use e.g. in microbiology or cell culture, comprises weakened zones over the wells to facilitate access while retaining protection against evaporation and cross-contamination
DE102004038152A1 (en) * 2004-08-06 2006-02-23 Eppendorf Ag Covering film for microtiter plate, for use e.g. in microbiology or cell culture, comprises weakened zones over the wells to facilitate access while retaining protection against evaporation and cross-contamination
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
DE10133013A1 (en) 2003-01-23

Similar Documents

Publication Publication Date Title
DE10133013C2 (en) Closure for cavities or feedthroughs
EP1218736B1 (en) Device for taking measurements of cells which are contained in a liquid environment
DE10235441B4 (en) Micro-machined high-temperature valve
EP0866919B1 (en) Microvalve
DE19822123C2 (en) Method and device for the detection of analytes
DE60025929T2 (en) ARRANGEMENT AND METHOD FOR DETERMINING AND / OR MONITORING ELECTROPHYSIOLOGICAL CHARACTERISTICS OF ION CHANNELS
EP2413138B1 (en) Device and method for separating components of a liquid sample
DE19859459A1 (en) Microsystems for cell permeation and fusion
EP1178315A1 (en) Method and apparatus for examining cells using the patch clamp method
WO2004071660A1 (en) Method and device for contacting a microfluid structure
EP0144852B1 (en) Drinking valve for animals
WO2002020877A1 (en) Method for etching at least one ion track to a pore in a membrane and electrolytic cell for preparing said membrane
DE102004047963A1 (en) Micro-fluidic chip for high-throughput screening and high-throughput assay, comprises well for isolating specimen, specimen-isolating device, opening and closing device, inlet and outlet, and reagent-injecting passage
DE102004008009A1 (en) Integrated microvalve and method of making a microvalve
EP2552586B1 (en) Component of a biosensor and method for producing same
DE102018130330A1 (en) Process for producing a test specimen
DE2106986A1 (en) Method and arrangement for the detection of fatigue cracks or fractures
DE102009002631A1 (en) Piezoelectric actuator and microvalve with such
DE102009001756A1 (en) Filter screen e.g. dirt filter, for sample separation device e.g. high-performance liquid chromatography system, has filter arranged at inlet and/or outlet of separating column and impermeable for particles with size above threshold value
WO2012107014A1 (en) Method for producing a device for detecting an analyte and device and use thereof
DE102006010956A1 (en) Valve for use in micro-fluidic system, has flexible diaphragm arranged such it is pressed in concave recess using pressure exerting unit and is fixed at distance to recess on support rising against surface of carrier
DE19628052C1 (en) Sensor and / or separating element and method for its production and use thereof
DE3018482A1 (en) Two layer diaphragm for diaphragm valve - has thicker layer weakened in bending to reduce separation tendency
EP1523674B1 (en) Method for the production of a hydrophilic substrate provided with a layer electrode
DE19533184A1 (en) Semiconductor micro-valve

Legal Events

Date Code Title Description
8304 Grant after examination procedure
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee